Fabrication industrielle
Internet des objets industriel | Matériaux industriels | Entretien et réparation d'équipement | Programmation industrielle |
home  MfgRobots >> Fabrication industrielle >  >> Industrial materials >> Nanomatériaux

Effets des variations de gravure sur la formation de canaux Ge/Si et les performances de l'appareil

Résumé

Au cours de la formation de structures d'ailettes de Ge sur un substrat de silicium sur isolant (SOI), nous avons constaté que le processus de gravure sèche doit être soigneusement contrôlé. Sinon, cela peut conduire à une surgravure de Ge ou à la formation d'un profil d'ailette de Ge indésirable. Si le processus de gravure n'est pas bien contrôlé, la structure Ge/SOI supérieure est gravée et seule la couche d'ailettes de Si reste. Dans ce cas, l'appareil présente des caractéristiques anormales. Le processus de gravure apparaît comme une étape critique dans la mise à l'échelle et l'emballage des appareils et affecte les tentatives d'augmentation de la densité d'emballage et d'amélioration des performances des appareils. Par conséquent, il est suggéré que l'optimisation du fonctionnement du réacteur à plasma soit effectuée par le biais de simulations, afin non seulement d'ajuster les paramètres de processus utilisés mais également de modifier le matériel utilisé. Nous allons développer des dispositifs sans jonction Ge en utilisant des paramètres de fabrication mis à jour. En outre, nous souhaitons éliminer les dislocations inadaptées à l'interface ou réduire les dislocations de filetage en appliquant un processus de recuit thermique cyclique pour atteindre l'objectif d'obtenir une structure suspendue de couches de Ge épitaxiales de haute qualité.

Contexte

Une façon d'augmenter la vitesse de réponse des dispositifs à semi-conducteurs et de réduire leur consommation d'énergie consiste à utiliser un semi-conducteur avec une mobilité élevée des porteuses. Des exemples de tels matériaux comprennent Ge ainsi que ses alliages et composés. Cependant, lorsqu'une structure est formée en empilant une couche d'un matériau semi-conducteur sur un substrat d'un semi-conducteur différent, des problèmes peuvent survenir. Par exemple, la différence des tailles de réseau du substrat semi-conducteur et de la couche sus-jacente d'un matériau semi-conducteur différent peut provoquer des dislocations, ce qui peut avoir un effet défavorable sur les performances du dispositif. Classiquement, afin de fabriquer des dispositifs semi-conducteurs à base de Ge qui comprennent un substrat en Si, une couche tampon plus épaisse ou une couche sacrificielle (par exemple, une couche tampon Si/Ge) est formée sur le substrat de silicium. Ensuite, une couche épitaxiale de Ge est développée sur la couche tampon Si/Ge. Ensuite, des processus de gravure anisotrope et isotrope sont exécutés séquentiellement pour éliminer une partie de la couche tampon et conserver la couche épitaxiale de Ge. Les dispositifs VLSI doivent afficher un courant d'entraînement élevé, un faible courant de fuite à l'état bloqué et une faible tension d'alimentation, afin de garantir des performances élevées, notamment une faible consommation d'énergie en veille et une dissipation de puissance dynamique réduite. Actuellement, la mobilité améliorée par la contrainte, la grille k/métal élevée et l'architecture de dispositif tridimensionnel (3D) au nœud 22 nm [1] sont quelques-unes des technologies utilisées pour la mise à l'échelle continue du métal-oxyde-semi-conducteur complémentaire ( CMOS) avec un canal Si. En plus des caractéristiques telles qu'une mobilité élevée, de nouvelles architectures de dispositifs telles que celles des transistors à effet de champ (FET) à corps ultra-fin (GAA) [2] sont nécessaires pour améliorer le contrôle électrostatique dans le subwoofer. -10 nœuds nm. Des pFET GAA à base de Ge [4] et des nFET [5] avec un fonctionnement en mode d'inversion (INV) ont été démontrés. Cependant, la formation de jonctions dans les dispositifs Ge INV est un problème critique en raison de la faible solubilité du dopant, de la diffusion rapide du dopant et du faible budget thermique. Pour résoudre ces problèmes, des dispositifs sans jonction (JL) [6] qui utilisent un canal fortement dopé avec le même type de porteuse que celui des régions source/drain (S/D) ont été suggérés comme alternatives. Cependant, la mise à l'échelle rapide des transistors nécessite le développement de dispositifs nouveaux et plus efficaces capables de rattraper les transistors modernes. Ces dernières années, les JL-FET se sont avérés prometteurs en tant que transistors de nouvelle génération. Le JL-FET est essentiellement une résistance dans laquelle la densité de porteurs mobiles peut être contrôlée par la porte. Dans l'état ON, un courant corporel important existe, en raison de la concentration de dopage relativement élevée dans la région du canal ; le courant d'accumulation de surface est ajouté à ce courant. Le niveau de dopage dans le JL-FET doit être élevé afin d'obtenir une commande de courant appropriée, tandis que la section transversale de l'appareil doit être suffisamment petite pour que l'appareil puisse être éteint . Cependant, dans le cas des JL-FET fortement dopés, les porteurs subissent une diffusion d'impuretés importante, à cause de laquelle le courant d'attaque est considérablement dégradé [7]. De plus, les JL-FET ont l'avantage d'être simples à fabriquer et d'avoir une mobilité de charge élevée et une faible capacité de grille, contrairement aux dispositifs INV [8,9,10,11,12]. Récemment, des pMOSFET JL-FET Ge à double grille [13] et à trois grilles [14] Ge JL-FET ont été démontrés sur des substrats de germanium sur isolant et du Si massif, respectivement.

Alors que les dispositifs microélectroniques continuent de rétrécir et que les exigences des processus deviennent de plus en plus strictes, la modélisation et la simulation du plasma deviennent de plus en plus attrayantes en tant qu'outil de conception, de contrôle et d'optimisation des réacteurs à plasma [15]. Plusieurs techniques sont utilisées pour simuler le comportement des procédés plasma en fonction de la disparité des échelles de longueur et de temps. L'une des techniques de simulation, la dynamique des fluides computationnelle (CFD), est largement utilisée pour prédire les champs d'écoulement pour les caractéristiques de conception technique et pour extrapoler les limites expérimentales. Sa modélisation a été appliquée pour étudier les phénomènes de mélange d'écoulement [16], mais rare étude dans le processus de gravure. Par conséquent, cette étude a proposé de caractériser en détail le champ d'écoulement thermique des réacteurs à plasma pour le processus de gravure, puis d'en déduire des paramètres numériques qui peuvent être bénéfiques pour les expériences.

Dans ce travail, une gravure a été réalisée pour former des couches de Ge épitaxial suspendues sur Si ainsi que d'autres semi-conducteurs en alliage pour l'intégration de dispositifs. Les résultats simulés seront validés expérimentalement; par conséquent, les conditions initiales et aux limites ainsi que les paramètres du modèle numérique seront modifiés pour améliorer la fiabilité des données. Nous prévoyons que des paramètres optimaux peuvent être obtenus par des expériences et des simulations pour améliorer les techniques de gravure et réaliser ce développement de transistor en réalisant une qualité de processus de fabrication supérieure ainsi qu'un coût de production inférieur.

Méthodes/Expérimental

Les substrats de départ étaient des plaquettes SOI avec une couche supérieure de silicium de 70 nm (type p, 9-18 Ω cm). Les plaquettes ont été nettoyées à l'aide des procédés RCA standard clean 1 (SC-1) et RCA standard clean 2 (SC-2), afin d'éliminer tous les éléments organiques, les métaux indésirables et les particules présentes. Ceci a été suivi d'un rinçage dans de l'eau déminéralisée et d'un séchage dans N2 . Le film de Ge a été déposé dans un réacteur épitaxié de dépôt chimique en phase vapeur à basse pression (Epsilon 2000, ASM) en utilisant 10 % de GeH4 comme précurseur. L'hydrogène a été utilisé comme gaz vecteur. Avant le dépôt du film Ge, un prétraitement in situ à base de HCl a été effectué à 850 °C et 10 Torr pour préparer la surface de la plaquette. La température du substrat a ensuite été modifiée à 400 °C pour faire croître un film de Ge sur la plaquette SOI en utilisant 10 % de GeH4 . Les épaisseurs des films de Ge déposés ont été déterminées par microscopie électronique à transmission (MET, émission thermique type Schottky, 0,5-200 kV). Les cristallinités des films de Ge ont été examinées à l'aide d'une analyse par diffraction des rayons X (XRD) (D8A, Bruker, rayonnement CuKα, = 1,5408 Å, 20–70°). De plus, des scans Ω–2θ ont été effectués autour du pic de diffraction (004) à l'aide d'un système X'Pert MRD (PANalytical). Un collimateur à cristaux taillés en canal Ge {400} double a été utilisé pour sélectionner le rayonnement CuKα1. Pendant les mesures XRD standard, l'échantillon a été fixé en position horizontale, et la source et le bras détecteur du diffractomètre ont été déplacés en mode θ–θ. La cartographie spatiale réciproque a été réalisée dans le mode de résolution moyenne en utilisant le collimateur à cristal mentionné ci-dessus ; Des fentes de 0,4 mm de large étaient présentes sur le bras du détecteur devant le compteur à scintillation. Les épaisseurs des couches de Ge ont été déterminées par des mesures ellipsométriques (M2000, J. A. Woollam Co., = 193–1690 nm). L'ailette a été formée par gravure plasma anisotrope à l'aide de Cl2 /HBr gaz. Après la formation du ZrO2 /TiN gate, les S/D ont été implantés avec B (1 × 10 15 cm −2 , 15 keV) et activé par recuit thermique rapide à 550 °C pendant 30 s.

Gravure sèche hautement sélective du mécanisme au germanium

Les réactions électroniques et neutres sont isotropes tandis que les réactions ioniques sont hautement directionnelles et varient avec la polarisation appliquée. En ajustant la puissance de polarisation, les ions peuvent être accélérés le long de la direction souhaitée pour faciliter la réaction de gravure. Il est généralement admis que Br ne réagit pas spontanément avec Si et que des ions énergétiques sont nécessaires pour que la réaction se produise. Les atomes Br et Cl assistés par ions peuvent réagir spontanément avec les atomes Ge ou Si sous activation pour former les produits volatils GeBr4 , GeCl4 , SiBr4 , et SiCl4 , qui sont désorbés de la surface du substrat et peuvent être pompés. Cette réaction chimique assistée par ions de Si avec Br s'est avérée hautement anisotrope. Des taux de gravure verticale plus élevés ont été obtenus en utilisant HBr pour les variations de puissance de polarisation, ce qui confirme que l'ajout de HBr dans Cl2 peut améliorer la vitesse de gravure [17]. Étant donné que le plasma à base de Cl et de Br grave le Ge et le Si par un mécanisme assisté par ions, l'énergie des ions tels que Br + , Br 2+ , HBr + , Cl + , et Cl2 + peut être contrôlé en sollicitant le support de substrat. Il n'y a pas de changement prononcé de la vitesse de gravure latérale en faisant varier la puissance de polarisation à la fois pour la gravure au niveau de la surface supérieure et de la zone de rétrécissement. Des contre-dépouilles ont été trouvées dans les structures d'ailettes gravées à l'aide de mélanges HBr, dans la zone de rétrécissement, car la gravure latérale était renforcée par les défauts le long de l'interface Ge/Si. De plus, le masque influence potentiellement l'évolution de la forme en raison de la frappe de la paroi latérale par le flux d'ions. Ce phénomène est amplifié à mesure que le profil s'approfondit et que la composante latérale de la vitesse des ions augmente. En raison de la forte dépendance angulaire du processus de gravure HBr-plasma [18], on peut donc conclure que la structure cristalline est relativement plus faible à l'interface Ge/Si en raison des atomes partiellement liés des dislocations inadaptées et de la relativement faible Liaisons Ge-Ge et Ge-Si. En ajustant le rapport HBr/Cl2 et la puissance de polarisation, différents types de structures en forme d'ailettes peuvent être obtenus lors de la fabrication du dispositif Ge. Les propriétés de gravure du Ge et du Si sont très similaires. Les gaz qui gravent le Si gravent généralement le Ge à une vitesse plus élevée. Il a été démontré que les forces des liaisons Ge et Si sont Ge-Ge = 263,6 ± 7,1 kJ mol −1 et Si-Si = 325 ± 7 kJ mol −1 [2]. Différentes puissances de polarisation ont été appliquées pour ajuster la vitesse de gravure verticale par accélération directionnelle des ions. Les images ont été enregistrées après le processus d'empilement de portes. La vitesse de gravure verticale a été calculée à partir de la hauteur du film par rapport au substrat d'oxyde. Les taux de gravure latérale ont été déterminés à partir de deux parties de l'ailette :la gravure latérale à la surface supérieure de l'ailette et la gravure dans la zone de striction proche de l'interface Ge/Si. En résumé, la puissance de polarisation s'est avérée expérimentalement le paramètre le plus critique dans le processus de gravure et, par conséquent, affectant les caractéristiques de l'appareil en conséquence.

Dans cette étude, tous les processus de gravure ont été réalisés dans un réacteur TCP 9600 de Lam Research. Il s'agit d'un réacteur à plasma couplé par transformateur qui permet un contrôle séparé de la puissance de la bobine (électrode supérieure) et de la polarisation du substrat (électrode inférieure). Un refroidissement arrière à l'hélium a été incorporé pour permettre de contrôler plus efficacement la température du substrat. Les échantillons ont été montés sur un 6-in. Plaquette support Si avec de la graisse sous vide avant d'être introduite dans la chambre de gravure. HBr/Cl2 la chimie a été exploitée pour le processus de gravure anisotrope. La pression du procédé a été contrôlée à 10 mTorr. Le montage et les conditions expérimentaux détaillés sont illustrés dans l'étude de Hsu et al. [4].

Modèle physique

La CFD est utilisée pour accéder aux informations internes à l'intérieur du réacteur et le flux est considéré comme laminaire avec la condition aux limites de glissement en raison du nombre de Knudsen plus élevé dans la chambre (Fig. 1). Dans la présente simulation, le flux thermique du plasma est considéré comme un mélange dans les équations de quantité de mouvement ; le transport des particules est pris en compte dans les espèces gouvernant les équations et les réactions chimiques sont impliquées sur la paroi du suscepteur. Un cas modèle pour la simulation est illustré à la Fig. 3. Le gaz d'admission est un mélange de chlore (Cl2 ) et le bromure d'hydrogène (HBR). La vitesse du mélange à l'entrée est de 0,026 m/s avec une température maintenue à 333 K. Le suscepteur et la paroi de la chambre sont chauffés à une température de fonctionnement de 473 K. Ces flux de plasma entraînés par la polarisation du potentiel électrique et ont des réactions chimiques sur la surface du suscepteur dans un manière uniforme et reproductible, et finalement être déchargé du réacteur. Après avoir construit la modélisation solide par le logiciel de CAO, le système de maillage a été construit en grilles structurées avec 50 000 nœuds comme le montre la figure 3. L'équation de conservation transitoire pour le transport d'une quantité scalaire est démontrée par l'équation suivante écrite en intégrale forme pour un volume de contrôle arbitraire V comme suit [19] :

Modèle physique pour la simulation numérique de réacteur à plasma. un Le croquis de la chambre du réacteur avec les conditions aux limites prescrites. b Système de maillage pour simulations numériques

$$ \frac{d}{dt}\underset{V}{\oint}\rho \varphi\;dV+\oint \kern0.27em \rho \varphi \overset{\rightharpoonup }{v}\cdot \mathrm{ d}\overrightarrow{\mathrm{A}}=\oint \Gamma \mathrm{\nabla}\varphi \cdot \mathrm{d}\overrightarrow{\mathrm{A}}+{\int}_{\mathrm{ V}}{\mathrm{S}}_{\varphi}\mathrm{dV} $$ (1*)

où indique la densité ; \( \overset{\rightharpoonup }{v} \) est le vecteur vitesse ; \( \overset{\rightharpoonup }{A} \) est le vecteur de surface ; V est le volume ; Γ est le coefficient de diffusion pour ϕ et Sϕ est le terme source de par unité de volume. Le symbole ϕ peut être remplacé par 1 pour l'équation de continuité, u , v , et w sont les équations de quantité de mouvement dans les directions X, Y et Z, et Ci pour les équations de transport des espèces dans la chambre du réacteur respectivement. Éq. (1*) peut être exprimé en coordonnées généralisées en utilisant l'approche de la méthode des volumes finis pour la simulation. Après avoir combiné les conditions aux limites, l'équation. (1*) peut être linéarisé et exprimé dans le domaine de calcul sous la forme d'un ensemble d'équations algébriques, qui peuvent être résolues par l'algorithme SIMPLE en utilisant la technique CFD [19]. A noté que la plupart des dispositifs de fabrication de semi-conducteurs fonctionnent bien en dessous de la pression atmosphérique. À des pressions aussi basses, l'écoulement du fluide est en régime de glissement et les conditions aux limites normalement utilisées sans glissement pour la vitesse et la température ne sont plus valides. Le flux plasmatique actuel à très basse pression est en régime de glissement, qui se situe entre le flux moléculaire libre et le régime continu [20]. Par conséquent, des conditions aux limites de glissement pour la vitesse et la température pour la modélisation de l'écoulement des fluides sont imposées dans nos simulations numériques.

Les matériaux semi-conducteurs Si(s) et Ge(s) sont gravés sur la surface chauffée du suscepteur régi par les réactions de surface suivantes :

$$ {\mathrm{Cl}}_2+\mathrm{Si}={\mathrm{SiCl}}_4 $$ (2*.1) $$ 4\mathrm{HBr}+\mathrm{Si}={\mathrm {SiBr}}_4+2{\mathrm{H}}_2 $$ (2*.2) $$ 2{\mathrm{Cl}}_2+\mathrm{Ge}={\mathrm{GeCl}}_4 $$ (2*.3) $$ 4\mathrm{HBr}+\mathrm{Ge}={\mathrm{GeBr}}_4+2{\mathrm{H}}_2 $$ (2*.4)

Les réactions chimiques sont similaires pour la gravure du Si dans l'équation. (2*.1) et l'éq. (2*.2), ou gravure Ge dans Eq. (2*.3) et l'éq. (2*.4). Par conséquent, le processus de gravure de Si par le mélange de chlore et de bromure d'hydrogène est démontré dans les simulations suivantes.

Résultats et discussion

Caractérisation des matériaux

Des images MET en coupe transversale de la couche de Ge formée sur le substrat SOI sont présentées sur la figure 2a. Comme on peut le voir, des dislocations inadaptées sont présentes à l'interface Ge/Si; ceux-ci, à leur tour, entraînent des dislocations d'enfilage à travers le film de Ge épitaxié. On pense que ces dislocations de filetage s'adaptent au décalage thermique entre Ge et Si. La plupart des dislocations de filetage se sont terminées à moins de 80 nm de l'interface ; cependant, beaucoup se sont également propagés à la surface du film. Le film de Ge épitaxié sur le substrat SOI a été implanté avec du bore et ensuite activé, afin d'examiner la distribution des dopants et le niveau d'activation. Pour la partie supérieure de 130 nm de la couche de Ge, le taux d'activation du bore était 85 %, comme le montrent les profils PCOR-SIMS et de profilage de résistance à l'étalement (SRP). (voir la figure 2b). La concentration des trous a chuté de manière significative dans le cas du fond de la couche de Ge près de l'interface Ge/Si, du fait de la présence de défauts et des limites de mesure du SRP. Dans le cas des dispositifs fabriqués, le Ge défectueux à proximité de l'interface Ge/Si a été éliminé par gravure sélective; ainsi, les mesures PCOR-SIMS et SRP effectuées dans le canal doivent être précises. Le niveau d'activation maximal de ~ 3 × 10 19 cm −3 est tout à fait conforme à la limite d'activation conventionnelle. A noter qu'en raison de l'activation à basse température (550 °C) réalisée lors de l'implantation de bore, les S/D à proximité du canal Si parasite étaient très résistifs (Fig. 2); cela empêchait la conduction parasite de Si. La figure 3 montre les caractéristiques capacité-tension (CV) du TiN/ZrO2 /Ge condensateurs métal-isolant-semiconducteur (MISCAP). Pour éviter la formation d'un GeOx instable couche pendant le dépôt diélectrique à k élevé et le processus de recuit post-dépôt, le matériau à base de nitrure Ge3 N4 et non GeO2 a été inséré comme couche d'interface sur la surface Ge (001) à travers un NH3 /H2 traitement plasma à distance. Le ZrO2 couche a été déposée à 250 °C pendant 20 cycles par dépôt de couche atomique. Les courbes CV mesurées n'indiquent pas de dispersion de fréquence ou d'étirement de 1 KHz à 1 MHz et sont cohérentes. La perte, qui crée des niveaux de piège près du ZrO2 /Ge, déplace l'énergie d'activation thermique pour la génération de porteurs minoritaires de celle correspondant à l'énergie de la bande interdite p-Ge à l'énergie midgap. L'épaisseur d'oxyde équivalente (EOT) est de 0,6 nm et le D il La valeur (densité de piège d'interface) est ~ 3 × 10 12 cm 2 eV −1 près de l'entrefer, tel que mesuré par la méthode de conductance à basse température (voir encadré de la figure 4).

un L'image MET du Ge de type p sur substrat SOI. b Les profils SIMS et SRP de la couche épi-Ge dopée au bore in situ sur SOI. La concentration de trous est faible dans le fond Ge défectueux près de l'interface Ge/Si

Caractéristiques C-V du TiN/ZrO2 /Ge MISCAP avec EOT ~ 0,6 nm

Dit mesuré par la méthode de conductance à basse température

Simulation des paramètres de fonctionnement du réacteur

Un modèle typique d'écoulements de plasma laminaires stables a été simulé sur des ordinateurs personnels à grande vitesse. Le gaz d'admission est un mélange de Cl2 , qui a une fraction massique de 0,75, et HBr, qui a une fraction massique de 0,25. La figure 5a illustre les contours de l'entrée à basse température, fonctionnant à une température plus élevée à l'intérieur et les lignes de chemin des particules dans la chambre du réacteur. La figure 5b montre les contours de la fraction massique du produit SiCl4, qui a une faible concentration au-dessus du suscepteur et une concentration élevée en dessous du suscepteur à la sortie. En outre, une fraction massique plus élevée de Cl2 obtient de bonnes performances en gravure, et ce savoir-faire a été validé par les simulations actuelles comme le montre la Fig. 6. L'axe horizontal représente le long d'une position radiale sur le suscepteur et l'axe vertical indique la vitesse de gravure (kg/m 2 s) de Si. La figure 6 montre que le meilleur processus de gravure est archivé par le mélange d'entrée de 75 % de Cl2 et 25 % de HBr, et ce mélange a été adopté pour mener des expériences dans cette étude.

Contours des paramètres du plasma à l'intérieur du réacteur. un Distribution de la température et lignes de cheminement des particules r. b Courbes de fraction massique du produit SiCl4

Taux de gravure du Si sur le suscepteur à partir de mélanges de gaz d'admission avec diverses fractions

Un autre cas pour montrer la faisabilité d'une expérience assistée par ordinateur est la distribution de potentiel électrique dans la chambre pour la gravure au plasma. Sur la base du mécanisme sous-jacent de ce processus de gravure, un modèle de simulation 2D a été développé pour la distribution de la densité de puissance du plasma comme le montre la figure 7 et a été utilisé pour ajuster les données de mesure, afin de confirmer la précision du modèle et d'aider l'expérience.

Simulation de la distribution de potentiel électrique pour la gravure plasma dans la chambre

Comme proposé par Sugai [21], la tension d'auto-polarisation peut être liée aux capacités de la gaine sur l'électrode RF (CK ) et prise de terre (CA ), et exprimé comme suit :

$$ {V}_{\mathrm{DC}}=\frac{C_{\mathrm{K}}-{C}_{\mathrm{A}}}{C_{\mathrm{K}}+{C }_{\mathrm{A}}}\ {V}_{\mathrm{RF}} $$ (3*)

Selon les simulations actuelles illustrées à la Fig. 7, la tension d'auto-polarisation est d'environ - 700 V, ce qui est proche de la valeur théorique, - 650 V, calculée à partir de l'Eq. (3*). Par conséquent, il est suggéré que l'optimisation soit effectuée à l'aide de simulations afin non seulement d'ajuster les paramètres de processus utilisés, mais également de modifier le matériel utilisé. Cela aidera à assurer l'uniformité sur l'ensemble du cycle et conduira à des processus de haute qualité et à faible coût qui sont optimisés.

Fabrication et caractérisation de l'appareil

La couche épitaxiale de Ge a été modelée en ailettes avec les tailles de caractéristiques souhaitées en utilisant la lithographie par faisceau électronique. L'ailette a été formée par gravure anisotrope avec Cl2 Plasma à base de /HBr pour graver le Ge hautement défectueux près de l'interface Ge/Si. L'ailette flottante en Ge sur SOI a été formée avec la sélectivité de gravure plus élevée du Ge que du Si et la vitesse de gravure améliorée de la région défectueuse [4]. Un SiO2 la couche de coiffage a ensuite été déposée et est devenue l'espaceur après la structuration de la grille. Après avoir défini la région active, la grille s'empile de ZrO2 couche ont été formées par ALD, respectivement. Les sections transversales des canaux des dispositifs fabriqués sont illustrées à la Fig. 8. La largeur des ailettes (W fin ) est utilisé pour la concentration du canal de 8 × 10 19 cm − 3 qui est extrait par la méthode van der Pauw sur une couche épi de couverture Ge sur SOI. Notez que la contrôlabilité du canal diminue avec l'augmentation de la concentration du canal et l'augmentation de W fin [12, 13]. La grande concentration de canaux nécessite le petit W fin pour maintenir le faible SS. Enfin, les électrodes de grille ont été définies et déposées. La figure 9 montre les caractéristiques de sortie et de transfert d'un Ge FinFET triangulaire avec une largeur d'ailette (W fin ) de 18 nm et longueur de grille (L g ) de 90 nm. Le Je sur /Je désactivé rapport du Ge JL-FET est aussi élevé que 10 5 et l'oscillation sous le seuil (SS) est de ~ 100 mV dec − 1 . Les caractéristiques de transfert du Si JL-FET sont illustrées à la Fig. 10. Le I sur /Je désactivé le rapport du Si JL-FET est élevé à ~ 10 8 , sa SS est de 90 mV dec − 1 , c'est L g est de 80 nm, et son W fin est de 20 nm. La figure 8 montre également que l'étendue de la tension de grille, V g , est d'environ 0,5 V et proche de la bande interdite de Ge (E g /q =0,66 V). Cela confirme que le I dV g les courbes représentées sur la figure 8 sont pour un Ge FinFET. Cependant, l'étendue de la tension de grille, V g , illustré à la Fig. 6 est d'environ 1,8 V et proche de la bande interdite de Si (E g /q = 1,1 V) mais pas celui de Ge (E g /q =0,66 V). Ainsi, le Je dV g les courbes représentées sur la figure 9 concernent un Si JL-FET et non un Ge JL-FET. Cette observation est basée sur la physique des dispositifs semi-conducteurs et est soutenue par le I déterminé expérimentalement dV g les courbes des FinFETs Ge et Si ainsi que les cartes Si transversales TEM/EDS. Deux choses sont évidentes à partir des encarts de la figure 11. Les encarts montrent les caractéristiques de sortie et de transfert du Si JL-FET inattendu après une surgravure de Ge ; le je sur /Je désactivé rapport de cet appareil est aussi élevé que 10 8 . Cependant, son courant ON n'est que de 17 A μm − 1 à − 1 V. Le haut I sur /Je désactivé est attribuable à la couche de Si et non à la couche de Ge. Lorsqu'il ne reste que la couche Si, l'appareil est en fait un Si JL-FET plutôt qu'un Ge JL-FET.

Schéma de fabrication de l'appareil. un Motif d'aileron. Les matériaux de départ sont du Ge (130 nm) sur BOX. b Gravure anisotrope et rayures photorésistantes. c Formation de grille par dépôt de couche atomique de ZrO2 et dépôt de TiN. d Implantation de bore auto-alignée sur S/D pour un bon contact. Remarque :le côté gauche est le schéma 3D et le côté droit est la vue en coupe correspondante

Je dV g et Je dV d courbe pour le Ge FinFET

Je dV g et Je dV d pour le Si FinFET après que le Ge supérieur soit négligemment gravé. Bien que Je sur /Je désactivé peut atteindre 10 8 , sa valeur actuelle est très faible

Cartographie TEM pour la structure du canal qui subit un processus de surgravure

Conclusions

Nous confirmons la relation entre le modèle de simulation et les données de mesure. Par conséquent, il est suggéré que l'optimisation soit effectuée à l'aide de simulations afin non seulement d'ajuster les paramètres de processus utilisés, mais également de modifier le matériel utilisé. A l'aide de simulations numériques pour déterminer les paramètres de fonctionnement du recteur, nous avons montré que les paramètres du procédé de gravure pour la formation de canaux Ge/Si peuvent être optimisés expérimentalement, afin d'améliorer le procédé de gravure et d'aider au développement de transistors en améliorer la qualité de fabrication et abaisser le coût de production. Les résultats expérimentaux ont indiqué que la technique de gravure sèche développée pour les Ge FinFET est également extrêmement utile pour la fabrication de dispositifs CMOS à nageoire haute.


Nanomatériaux

  1. Technologies avancées de dépôt de couche atomique pour les micro-LED et les VCSEL
  2. Ingénierie des procédés de revêtement par immersion et optimisation des performances pour les appareils électrochromes à trois états
  3. Caractéristiques d'alignement interfacial, électrique et de bande des piles HfO2/Ge avec une couche intermédiaire de SiO2 formée in situ par dépôt de couche atomique amélioré par plasma
  4. Dopage de substitution pour les minéraux d'aluminosilicate et performances supérieures de fractionnement de l'eau
  5. Caractéristiques optiques et électriques des nanofils de silicium préparés par gravure autocatalytique
  6. Les effets du rapport Li/Nb sur la préparation et les performances photocatalytiques des composés Li-Nb-O
  7. Réglage des morphologies de surface et des propriétés des films de ZnO par la conception de la couche interfaciale
  8. Préparation et performances photocatalytiques des photocatalyseurs à structure creuse LiNb3O8
  9. Gravure au laser et gravure au laser