Fabrication industrielle
Internet des objets industriel | Matériaux industriels | Entretien et réparation d'équipement | Programmation industrielle |
home  MfgRobots >> Fabrication industrielle >  >> Industrial programming >> VHDL

Comment installer un simulateur et un éditeur VHDL gratuitement

Bien que les outils VHDL et FPGA soient souvent très chers, il est facile d'accéder gratuitement à des logiciels de pointe si vous êtes étudiant. Il existe plusieurs simulateurs, éditeurs et IDE pour travailler avec VHDL. Cet article vous montre comment installer deux des programmes les plus populaires utilisés par les ingénieurs VHDL.

Simulateur VHDL

ModelSim de Siemens EDA (anciennement Mentor Graphics) est le simulateur VHDL le plus courant, et il est probable que vous deviez utiliser ModelSim ou la version QuestaSim du programme dans votre premier travail VHDL. C'est une bonne pratique de conception de rendre votre code aussi portable que possible, et le code de ce blog ne fait pas exception. Si vous avez accès à un autre simulateur VHDL, allez-y et utilisez-le; cela devrait fonctionner de la même manière.

Les licences pour les simulateurs VHDL sont très coûteuses, mais heureusement, plusieurs versions gratuites et légales du simulateur ModelSim VHDL sont disponibles.

Cliquez ici pour une liste des options d'installation gratuites du simulateur VHDL pour Windows, Linux , ou Mac

Éditeur VHDL

Vous pouvez écrire du code directement dans l'éditeur intégré de ModelSim, mais il vaut mieux utiliser un éditeur externe. J'utiliserai Notepad++ pour ma série de tutoriels, qui est une bonne alternative gratuite pour Windows. Il dispose également d'un plug-in VHDL pour la coloration syntaxique.

Voici un screencast expliquant comment télécharger et installer l'éditeur et le plug-in VHDL :

Lorsque vous avez installé avec succès ModelSim et Notepad++, vous avez tout ce dont vous avez besoin pour commencer à apprendre le VHDL !

Suivez les didacticiels VHDL de base ici>>

Peut-être pensez-vous :« Qu'en est-il du matériel ? N'ai-je pas besoin d'une carte de développement FPGA ou quelque chose ? ». Finalement, vous voudrez essayer votre code sur du matériel réel, mais dans le seul but d'apprendre le VHDL, un simulateur est tout ce dont vous avez besoin.


VHDL

  1. Bases de SRAM PUF et comment le déployer pour la sécurité IoT
  2. Comment créer une liste de chaînes en VHDL
  3. Comment créer un banc d'essai piloté par Tcl pour un module de verrouillage de code VHDL
  4. Comment utiliser une fonction impure en VHDL
  5. Comment utiliser une fonction en VHDL
  6. Comment utiliser une procédure en VHDL
  7. Comment créer une minuterie en VHDL
  8. Comment créer un processus cadencé en VHDL
  9. Comment installer et remplacer correctement les freins à tambour